Publications

563 entries « 10 of 12 »

2003

Luthra, Manev; Gupta, Sumit; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

Interface Synthesis using Memory Mapping for an FPGA Platform Proceedings Article

In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 140–145, IEEE Computer Society, 2003.

Links | BibTeX

Reshadi, Mehrdad; Dutt, Nikil D

Reducing Compilation Time Overhead in Compiled Simulators Proceedings Article

In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 151, IEEE Computer Society, 2003.

Links | BibTeX

Cornea, Radu; Dutt, Nikil D; Gupta, Rajesh K; ü, Ingolf Kr; Nicolau, Alexandru; Schmidt, Douglas C; Shukla, Sandeep K

FORGE: A Framework for Optimization of Distributed Embedded Systems Software Proceedings Article

In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 208, IEEE Computer Society, 2003.

Links | BibTeX

Lee, Jong-eun; Choi, Kiyoung; Dutt, Nikil D

Energy-efficient instruction set synthesis for application-specific processors Proceedings Article

In: Verbauwhede, Ingrid; Roh, Hyung (Ed.): Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 330–333, ACM, 2003.

Links | BibTeX

Lee, Jong-eun; Choi, Kiyoung; Dutt, Nikil D

An algorithm for mapping loops onto coarse-grained reconfigurable architectures Proceedings Article

In: Mueller, Frank; Kremer, Ulrich (Ed.): Proceedings of the 2003 Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'03). San Diego, California, USA, June 11-13, 2003, pp. 183–188, ACM, 2003.

Links | BibTeX

Mohapatra, Shivajit; Cornea, Radu; Dutt, Nikil D; Nicolau, Alexandru; Venkatasubramanian, Nalini

Integrated power management for video streaming to mobile handheld devices Proceedings Article

In: Rowe, Lawrence A; Vin, Harrick M; Plagemann, Thomas; Shenoy, Prashant J; Smith, John R (Ed.): Proceedings of the Eleventh ACM International Conference on Multimedia, Berkeley, CA, USA, November 2-8, 2003, pp. 582–591, ACM, 2003.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D

A Methodology for Validation of Microprocessors using Equivalence Checking Proceedings Article

In: Fourth International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions (MTV 2003), May 29-30, 2003, Hyatt Town Lake Hotel, Austin, Texas, USA, pp. 83–88, IEEE Computer Society, 2003.

Links | BibTeX

Mishra, Prabhat; Kejariwal, Arun; Dutt, Nikil D

Rapid Exploration of Pipelined Processors through Automatic Generation of Synthesizable RTL Models Proceedings Article

In: 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 9-11 June 2003, San Diego, CA, USA, pp. 226–232, IEEE Computer Society, 2003.

Links | BibTeX

Buss, Marcio; Givargis, Tony; Dutt, Nikil D

Exploring Efficient Operating Points for Voltage Scaled Embedded Processor Cores Proceedings Article

In: Proceedings of the 24th IEEE Real-Time Systems Symposium (RTSS 2003), 3-5 December 2003, Cancun, Mexico, pp. 275–281, IEEE Computer Society, 2003.

Links | BibTeX

Mamidipaka, Mahesh; Dutt, Nikil D; Khouri, Kamal S

A Methodology for Accurate Modeling of Energy Dissipation in Array Structures Proceedings Article

In: 16th International Conference on VLSI Design (VLSI Design 2003), 4-8 January 2003, New Delhi, India, pp. 320, IEEE Computer Society, 2003.

Links | BibTeX

Gupta, Sumit; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations Proceedings Article

In: 16th International Conference on VLSI Design (VLSI Design 2003), 4-8 January 2003, New Delhi, India, pp. 461–466, IEEE Computer Society, 2003.

Links | BibTeX

2002

Gupta, Sumit; Savoiu, Nick; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru; Kam, Timothy; Kishinevsky, Michael; Rotem, Shai

Coordinated transformations for high-level synthesis of high performance microprocessor blocks Proceedings Article

In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 898–903, ACM, 2002.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D; Nicolau, Alexandru; Tomiyama, Hiroyuki

Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units Proceedings Article

In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 36–43, IEEE Computer Society, 2002.

Links | BibTeX

Azevedo, Ana; Issenin, Ilya; Cornea, Radu; Gupta, Rajesh; Dutt, Nikil D; Veidenbaum, Alexander V; Nicolau, Alexandru

Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints Proceedings Article

In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 168–175, IEEE Computer Society, 2002.

Links | BibTeX

Halambi, Ashok; Shrivastava, Aviral; Biswas, Partha; Dutt, Nikil D; Nicolau, Alexandru

An Efficient Compiler Technique for Code Size Reduction Using Reduced Bit-Width ISAs Proceedings Article

In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 402–408, IEEE Computer Society, 2002.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Memory System Connectivity Exploration Proceedings Article

In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 894–901, IEEE Computer Society, 2002.

Links | BibTeX

Panda, Preeti Ranjan; Dutt, Nikil D

Memory Architectures for Embedded Systems-On-Chip Proceedings Article

In: Sahni, Sartaj; Prasanna, Viktor K; Shukla, Uday (Ed.): High Performance Computing - HiPC 2002, 9th International Conference, Bangalore, India, December 18-21, 2002, Proceedings, pp. 647–662, Springer, 2002.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D

Automatic functional test program generation for pipelined processors using model checking Proceedings Article

In: Seventh IEEE International High-Level Design Validation and Test Workshop 2002, Cannes, France, October 27-29, 2002, pp. 99–103, IEEE Computer Society, 2002.

Links | BibTeX

Lee, Jong-eun; Choi, Kiyoung; Dutt, Nikil D

Efficient instruction encoding for automatic instruction set design of configurable ASIPs Proceedings Article

In: Pileggi, Lawrence T; Kuehlmann, Andreas (Ed.): Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 649–654, ACM / IEEE Computer Society, 2002.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D

Modeling and Verification of Pipelined Embedded Processors in the Presence of Hazards and Exceptions Proceedings Article

In: Kleinjohann, Bernd; Kim, K H; Kleinjohann, Lisa; Rettberg, Achim (Ed.): Design and Analysis of Distributed Embedded Systems, IFIP 17(^mboxth) World Computer Congress - TC10 Stream on Distributed and Parallel Embedded Systems (DIPES 2002), August 25-29, 2002, Montréal, Québec, Canada, pp. 81–90, Kluwer, 2002.

BibTeX

Nicolau, Alexandru; Dutt, Nikil D; Shrivastava, Aviral; Biswas, Partha; Halambi, Ashok

A Design Space Exploration Framework for Reduced Bit-Width Instruction Set Architecture (rISA) Design Proceedings Article

In: Aboulhamid, El Mostapha; Nakamura, Yukihiro (Ed.): Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 120–125, ACM / IEEE Computer Society, 2002.

Links | BibTeX

Dutt, Nikil D; Hirschberg, Daniel S; Mamidipaka, Mahesh

Efficient Power Reduction Techniques for Time Multiplexed Address Buses Proceedings Article

In: Aboulhamid, El Mostapha; Nakamura, Yukihiro (Ed.): Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 207–212, ACM / IEEE Computer Society, 2002.

Links | BibTeX

Nicolau, Alexandru; Dutt, Nikil D; Gupta, Rajesh; Savoiu, Nick; Reshadi, Mehrdad; Gupta, Sumit

Dynamic Common Sub-Expression Elimination during Scheduling in High-Level Synthesis Proceedings Article

In: Aboulhamid, El Mostapha; Nakamura, Yukihiro (Ed.): Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 261–266, ACM / IEEE Computer Society, 2002.

Links | BibTeX

Mishra, Prabhat; Tomiyama, Hiroyuki; Halambi, Ashok; Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language Proceedings Article

In: Proceedings of the ASPDAC 2002 / VLSI Design 2002, CD-ROM, 7-11 January 2002, Bangalore, India, pp. 458, IEEE Computer Society, 2002.

Links | BibTeX

2001

Panda, Preeti Ranjan; Dutt, Nikil D; Nicolau, Alexandru; Catthoor, Francky; Vandecappelle, Arnout; Brockmeyer, Erik; Kulkarni, Chidamber; de Greef, Eddy

Data Memory Organization and Optimizations in Application-Specific Systems Journal Article

In: IEEE Des. Test Comput., vol. 18, no. 3, pp. 56–68, 2001.

Links | BibTeX

Catthoor, Francky; Danckaert, Koen; Wuytack, Sven; Dutt, Nikil D

Code Transformations for Data Transfer and Storage Exploration Preprocessing in Multimedia Processors Journal Article

In: IEEE Des. Test Comput., vol. 18, no. 3, pp. 70–82, 2001.

Links | BibTeX

Khare, Asheesh; Halambi, Ashok; Savoiu, Nicolae; Grun, Peter; Dutt, Nikil D; Nicolau, Alex

V-SAT: A visual specification and analysis tool for system-on-chip exploration Journal Article

In: J. Syst. Archit., vol. 47, no. 3-4, pp. 263–275, 2001.

Links | BibTeX

Panda, Preeti Ranjan; Catthoor, Francky; Dutt, Nikil D; Danckaert, Koen; Brockmeyer, Erik; Kulkarni, Chidamber; Vandecappelle, Arnout; Kjeldsberg, Per Gunnar

Data and memory optimization techniques for embedded systems Journal Article

In: ACM Trans. Design Autom. Electr. Syst., vol. 6, no. 2, pp. 149–206, 2001.

Links | BibTeX

Dutt, Nikil D; Nicolau, Alexandru; Tomiyama, Hiroyuki; Halambi, Ashok

New directions in compiler technology for embedded systems (embedded tutorial) Proceedings Article

In: Goto, Satoshi (Ed.): Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 409–414, ACM, 2001.

Links | BibTeX

Gupta, Sumit; Savoiu, Nick; Kim, Sunwoo; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

Speculation Techniques for High Level Synthesis of Control Intensive Designs Proceedings Article

In: Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, 2001, pp. 269–272, ACM, 2001.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Access pattern based local memory customization for low power embedded systems Proceedings Article

In: Nebel, Wolfgang; Jerraya, Ahmed (Ed.): Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2001, Munich, Germany, March 12-16, 2001, pp. 778–784, IEEE Computer Society, 2001.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D; Nicolau, Alex

Automatic validation of pipeline specifications Proceedings Article

In: Proceedings of the Sixth IEEE International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001, pp. 9–13, IEEE Computer Society, 2001.

Links | BibTeX

Mamidipaka, Mahesh; Hirschberg, Daniel S; Dutt, Nikil D

Low power address encoding using self-organizing lists Proceedings Article

In: Macii, Enrico; De, Vivek; Irwin, Mary Jane (Ed.): Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001, pp. 188–193, ACM, 2001.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

APEX: Access Pattern Based Memory Architecture Exploration Proceedings Article

In: á, Rom; Aboulhamid, El Mostapha (Ed.): Proceedings of the 14th International Symposium on Systems Synthesis, ISSS 2001, Montrél, Québec, Canada, September 30 - October 3, 2001, pp. 25–32, ACM / IEEE Computer Society, 2001.

Links | BibTeX

Gupta, Sumit; Savoiu, Nick; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

Conditional speculation and its effects on performance and area for high-level snthesis Proceedings Article

In: á, Rom; Aboulhamid, El Mostapha (Ed.): Proceedings of the 14th International Symposium on Systems Synthesis, ISSS 2001, Montrél, Québec, Canada, September 30 - October 3, 2001, pp. 171–176, ACM / IEEE Computer Society, 2001.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D; Nicolau, Alexandru

Functional abstraction driven design space exploration of heterogeneous programmable architectures Proceedings Article

In: á, Rom; Aboulhamid, El Mostapha (Ed.): Proceedings of the 14th International Symposium on Systems Synthesis, ISSS 2001, Montrél, Québec, Canada, September 30 - October 3, 2001, pp. 256–261, ACM / IEEE Computer Society, 2001.

Links | BibTeX

Mishra, Prabhat; Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Processor-Memory Co-Exploration driven by a Memory-Aware Architecture Description Language Proceedings Article

In: 14th International Conference on VLSI Design (VLSI Design 2001), 3-7 January 2001, Bangalore, India, pp. 70–75, IEEE Computer Society, 2001.

Links | BibTeX

Datta, Anupam; Choudhury, Sidharth; Basu, Anupam; Tomiyama, Hiroyuki; Dutt, Nikil D

Satisfying Timing Constraints of Preemptive Real-Time Tasks through Task Layout Technique Proceedings Article

In: 14th International Conference on VLSI Design (VLSI Design 2001), 3-7 January 2001, Bangalore, India, pp. 97–102, IEEE Computer Society, 2001.

Links | BibTeX

2000

Jha, Pradip K; Dutt, Nikil D

High-level library mapping for memories Journal Article

In: ACM Trans. Design Autom. Electr. Syst., vol. 5, no. 3, pp. 566–603, 2000.

Links | BibTeX

Panda, Preeti Ranjan; Dutt, Nikil D; Nicolau, Alexandru

On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems Journal Article

In: ACM Trans. Design Autom. Electr. Syst., vol. 5, no. 3, pp. 682–704, 2000.

Links | BibTeX

-, Allen C; Dutt, Nikil D

Guest editorial 11th international symposium on system-level synthesis and design (ISSS'98) Journal Article

In: IEEE Trans. Very Large Scale Integr. Syst., vol. 8, no. 5, pp. 469–471, 2000.

Links | BibTeX

Tomiyama, Hiroyuki; Dutt, Nikil D

Program path analysis to bound cache-related preemption delay in preemptive real-time systems Proceedings Article

In: Vahid, Frank; Madsen, Jan (Ed.): Proceedings of the Eighth International Workshop on Hardware/Software Codesign, CODES 2000, San Diego, California, USA, 2000, pp. 67–71, ACM, 2000.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Memory aware compilation through accurate timing extraction Proceedings Article

In: Micheli, Giovanni De (Ed.): Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000, pp. 316–321, ACM, 2000.

Links | BibTeX

Catthoor, Francky; Dutt, Nikil D; Kozyrakis, Christoforos E

How to Solve the Current Memory Access and Data Transfer Bottlenecks: At the Processor Architecture or at the Compiler Level? Proceedings Article

In: Bolsens, Ivo (Ed.): 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 426–433, IEEE Computer Society / ACM, 2000.

Links | BibTeX

Halambi, Ashok; Cornea, Radu; Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Architecture Exploration of Parameterizable EPIC SOC Architectures Proceedings Article

In: Bolsens, Ivo (Ed.): 2000 Design, Automation and Test in Europe (DATE 2000), 27-30 March 2000, Paris, France, pp. 748, IEEE Computer Society / ACM, 2000.

Links | BibTeX

Tomiyama, Hiroyuki; Yoshino, Taisei; Dutt, Nikil D

Verification of in-order execution in pipelined processors Proceedings Article

In: Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, Berkeley, California, USA, November 8-10, 2000, pp. 40–44, IEEE Computer Society, 2000.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

MIST: An Algorithm for Memory Miss Traffic Management Proceedings Article

In: Sentovich, Ellen (Ed.): Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000, pp. 431–437, IEEE Computer Society, 2000.

Links | BibTeX

Nachtergaele, Lode; Tiwari, Vivek; Dutt, Nikil D

System and Architecture-Level Power Reduction for Microprocessor-Based Communication and Multi-Media Applications Proceedings Article

In: Sentovich, Ellen (Ed.): Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000, pp. 569–573, IEEE Computer Society, 2000.

Links | BibTeX

Halambi, Ashok; Dutt, Nikil D; Nicolau, Alexandru

Customizing Software Toolkits for Embedded Systems-On-Chip Proceedings Article

In: Kleinjohann, Bernd (Ed.): Architecture and Design of Distributed Embedded Systems, IFIP WG10.3/WG10.4/WG10.5 International Workshop on Distributed and Parallel Embedded Systems (DIPES 2000), October 18-19, 2000, Schloß Eringerfeld, Germany, pp. 87–98, Kluwer, 2000.

BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Aggressive Memory-Aware Compilation Proceedings Article

In: Chong, Frederic T; Kozyrakis, Christoforos E; Oskin, Mark (Ed.): Intelligent Memory Systems, Second International Workshop, IMS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 147–151, Springer, 2000.

Links | BibTeX

563 entries « 10 of 12 »