Publications

563 entries « 2 of 12 »

2020

Bellman, Kirstie L; Landauer, Christopher; Dutt, Nikil D; Esterle, Lukas; Herkersdorf, Andreas; Jantsch, Axel; Taherinejad, Nima; Lewis, Peter R; Platzner, Marco; ä, Kalle Tammem

Self-aware Cyber-Physical Systems Journal Article

In: ACM Trans. Cyber Phys. Syst., vol. 4, no. 4, pp. 38:1–38:26, 2020.

Links | BibTeX

Jantsch, Axel; Lewis, Peter R; Dutt, Nikil D

Introduction to the Special Issue on Self-Aware Cyber-physical Systems Journal Article

In: ACM Trans. Cyber Phys. Syst., vol. 4, no. 4, pp. 37:1–37:2, 2020.

Links | BibTeX

Vishwanath, Manoj; Jafarlou, Salar; Shin, Ikhwan; Lim, Miranda M; Dutt, Nikil D; Rahmani, Amir M; Cao, Hung

Investigation of Machine Learning Approaches for Traumatic Brain Injury Classification via EEG Assessment in Mice Journal Article

In: Sensors, vol. 20, no. 7, pp. 2027, 2020.

Links | BibTeX

Hoffmann, Henry; Jantsch, Axel; Dutt, Nikil D

Embodied Self-Aware Computing Systems Journal Article

In: Proceedings of the IEEE, vol. 108, no. 7, pp. 1027–1046, 2020.

Links | BibTeX

Dutt, Nikil D; Regazzoni, Carlo S; Rinner, Bernhard; Yao, Xin

Self-Awareness for Autonomous Systems Journal Article

In: Proceedings of the IEEE, vol. 108, no. 7, pp. 971–975, 2020.

Links | BibTeX

Maity, Biswadip; Shoushtari, Majid; Rahmani, Amir M; Dutt, Nikil D

Self-Adaptive Memory Approximation: A Formal Control Theory Approach Journal Article

In: IEEE Embed. Syst. Lett., vol. 12, no. 2, pp. 33–36, 2020.

Links | BibTeX

Nejatollahi, Hamid; Gupta, Saransh; Imani, Mohsen; Rosing, Tajana Simunic; Cammarota, Rosario; Dutt, Nikil D

CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware Proceedings Article

In: 57th ACM/IEEE Design Automation Conference, DAC 2020, San Francisco, CA, USA, July 20-24, 2020, pp. 1–6, IEEE, 2020.

Links | BibTeX

Seo, Dongjoo; Shahhosseini, Sina; Mehrabadi, Milad Asgari; Donyanavard, Bryan; Lim, Sung-Soo; Rahmani, Amir M; Dutt, Nikil D

Dynamic iFogSim: A Framework for Full-Stack Simulation of Dynamic Resource Management in IoT Systems Proceedings Article

In: 2020 International Conference on Omni-layer Intelligent Systems, COINS 2020, Barcelona, Spain, August 31 - September 2, 2020, pp. 1–6, IEEE, 2020.

Links | BibTeX

Anzanpour, Arman; Amiri, Delaram; Azimi, Iman; Levorato, Marco; Dutt, Nikil D; Liljeberg, Pasi; Rahmani, Amir M

Edge-Assisted Control for Healthcare Internet of Things: A Case Study on PPG-Based Early Warning Score Journal Article

In: ACM Trans. Internet Things, vol. 2, no. 1, pp. 1:1–1:21, 2020.

Links | BibTeX

Nejatollahi, Hamid; Valencia, Felipe; Banik, Subhadeep; Regazzoni, Francesco; Cammarota, Rosario; Dutt, Nikil D

Synthesis of Flexible Accelerators for Early Adoption of Ring-LWE Post-quantum Cryptography Journal Article

In: ACM Trans. Embed. Comput. Syst., vol. 19, no. 2, pp. 11:1–11:17, 2020.

Links | BibTeX

Peroni, Daniel; Imani, Mohsen; Nejatollahi, Hamid; Dutt, Nikil D; Rosing, Tajana

Data Reuse for Accelerated Approximate Warps Journal Article

In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., vol. 39, no. 12, pp. 4623–4634, 2020.

Links | BibTeX

Monazzah, Amir Mahdi Hosseini; Rahmani, Amir M; Miele, Antonio; Dutt, Nikil D

CAST: Content-Aware STT-MRAM Cache Write Management for Different Levels of Approximation Journal Article

In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., vol. 39, no. 12, pp. 4385–4398, 2020.

Links | BibTeX

Amiri, Delaram; Anzanpour, Arman; Azimi, Iman; Levorato, Marco; Liljeberg, Pasi; Dutt, Nikil D; Rahmani, Amir M

Context-Aware Sensing via Dynamic Programming for Edge-Assisted Wearable Systems Journal Article

In: ACM Trans. Comput. Heal., vol. 1, no. 2, pp. 7:1–7:25, 2020.

Links | BibTeX

Le, Tai; Ellington, Floranne; Lee, Tao-Yi; Vo, Khuong; Khine, Michelle; Krishnan, Sandeep Kumar; Dutt, Nikil D; Cao, Hung

Continuous Non-Invasive Blood Pressure Monitoring: A Methodological Review on Measurement Techniques Journal Article

In: IEEE Access, vol. 8, pp. 212478–212498, 2020.

Links | BibTeX

2019

Lee, Tao-Yi; Levorato, Marco; Dutt, Nikil

DNN-Assisted Sensor for Energy-Efficient ECG Monitoring Conference

DNN-Assisted Sensor for Energy-Efficient ECG Monitoring, 2019 IEEE Global Communications Conference (GLOBECOM), 2019.

Links | BibTeX

Nejatollahi, Hamid; Dutt, Nikil; Cammarota, Rosario

Flexible NTT Accelerators for RLWE Lattice-Based Cryptography Conference

2019.

Links | BibTeX

Amiri, Delaram; Anzanpour, Arman; Azimi, Iman; Levorato, Marco; Liljeberg, Pasi; Dutt, Nikil; Rahmani, Amir M

Context-Aware Sensing via Dynamic Programming for Edge-Assisted Wearable Systems Journal Article

In: ACM transaction on computing for healthcare (HEALTH), 2019.

Links | BibTeX

Kasaeyan Naeini, Emad; Azimi, Iman; Rahmani, Amir M; Liljeberg, Pasi; Dutt, Nikil

A Real-time PPG Quality Assessment Approach for Healthcare Internet-of-Things Journal Article

In: Procedia Computer Science, vol. 151, pp. 551 - 558, 2019, ISSN: 1877-0509, (The 10th International Conference on Ambient Systems, Networks and Technologies (ANT 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 (EDI40 2019) / Affiliated Workshops).

Abstract | Links | BibTeX

Peroni, Daniel; Imani, Mohsen; Hamid, Nejatollahi; Dutt, Nikil; Rosing, Tajana

ARGA: Approximate Reuse for GPGPU Acceleration Conference

IEEE/ACM Design Automation Conference (DAC), 2019.

Links | BibTeX

Seto, Kenshu; Nejatollahi, Hamid; An, Jiyoung; Kang, Sujin; Dutt, Nikil

Small Memory Footprint Neural Network Accelerators Proceedings Article

In: International Symposium on Quality Electronic Design (ISQED), 2019.

Links | BibTeX

Nejatollahi, Hamid; Dutt, Nikil; Ray, Sandip; Regazzoni, Francesco; Banerjee, Indranil; Cammarota, Rosario

Post-Quantum Lattice-Based Cryptography Implementations: A Survey Journal Article

In: ACM Computing Survey, vol. 51, no. 6, 2019, ISSN: 0360-0300.

Abstract | Links | BibTeX

Balaji, Adarsha; Das, Anup; Wu, Yuefeng; Huynh, Khanh; Dell'Anna, Francesco; Indiveri, Giacomo; Krichmar, Jeffrey L; Dutt, Nikil D; Schaafsma, Siebren; Catthoor, Francky

Mapping Spiking Neural Networks to Neuromorphic Hardware Journal Article

In: CoRR, vol. abs/1909.01843, 2019.

Links | BibTeX

Amiri, Delaram; Anzanpour, Arman; Azimi, Iman; Rahmani, Amir M; Liljeberg, Pasi; Dutt, Nikil D; Levorato, Marco

Optimizing Energy Efficiency of Wearable Sensors Using Fog-assisted Control Journal Article

In: CoRR, vol. abs/1907.11989, 2019.

Links | BibTeX

Rambo, Eberle A; Donyanavard, Bryan; Seo, Minjun; Maurer, Florian; Kadeed, Thawra; de Melo, Caio Batista; Maity, Biswadip; Surhonne, Anmol; Herkersdorf, Andreas; Kurdahi, Fadi J; Dutt, Nikil D; Ernst, Rolf

The Information Processing Factory: Organization, Terminology, and Definitions Journal Article

In: CoRR, vol. abs/1907.01578, 2019.

Links | BibTeX

Hsieh, Chen-Ying; Sani, Ardalan Amiri; Dutt, Nikil D

Exploiting Heterogeneous Mobile Architectures Through a Unified Runtime Framework Proceedings Article

In: VLSI-SoC: New Technology Enabler - 27th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cusco, Peru, October 6-9, 2019, Revised and Extended Selected Papers, pp. 323–344, Springer, 2019.

Links | BibTeX

Hsieh, Chen-Ying; Sani, Ardalan Amiri; Dutt, Nikil D

SURF: Self-aware Unified Runtime Framework for Parallel Programs on Heterogeneous Mobile Architectures Proceedings Article

In: 27th IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019, pp. 136–141, IEEE, 2019.

Links | BibTeX

Donyanavard, Bryan; Mück, Tiago; Rahmani, Amir M; Dutt, Nikil D; Sadighi, Armin; Maurer, Florian; Herkersdorf, Andreas

SOSA: Self-Optimizing Learning with Self-Adaptive Control for Hierarchical System-on-Chip Management Proceedings Article

In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2019, Columbus, OH, USA, October 12-16, 2019, pp. 685–698, ACM, 2019.

Links | BibTeX

Shahhosseini, Sina; Azimi, Iman; Anzanpour, Arman; Jantsch, Axel; Liljeberg, Pasi; Dutt, Nikil D; Rahmani, Amir M

Dynamic Computation Migration at the Edge: Is There an Optimal Choice? Proceedings Article

In: Homayoun, Houman; Taskin, Baris; Mohsenin, Tinoosh; Zhao, Weisheng (Ed.): Proceedings of the 2019 on Great Lakes Symposium on VLSI, GLSVLSI 2019, Tysons Corner, VA, USA, May 9-11, 2019, pp. 519–524, ACM, 2019.

Links | BibTeX

Shamsa, Elham; Kanduri, Anil; Rahmani, Amir M; Liljeberg, Pasi; Jantsch, Axel; Dutt, Nikil D

Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals Proceedings Article

In: ü, J; Fummi, Franco (Ed.): Design, Automation & Test in Europe Conference & Exhibition, DATE 2019, Florence, Italy, March 25-29, 2019, pp. 1397–1402, IEEE, 2019.

Links | BibTeX

Rambo, Eberle A; Kadeed, Thawra; Ernst, Rolf; Seo, Minjun; Kurdahi, Fadi J; Donyanavard, Bryan; de Melo, Caio Batista; Maity, Biswadip; Moazzemi, Kasra; Stewart, Kenneth; Yi, Saehanseul; Rahmani, Amir M; Dutt, Nikil D; Maurer, Florian; Doan, Nguyen Anh Vu; Surhonne, Anmol; Wild, Thomas; Herkersdorf, Andreas

The information processing factory: a paradigm for life cycle management of dependable systems Proceedings Article

In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, CODES+ISSS 2019, part of ESWEEK 2019, New York, NY, USA, October 13-18, 2019, pp. 20:1–20:2, ACM, 2019.

Links | BibTeX

Anzanpour, Arman; Rashid, Humayun; Rahmani, Amir M; Jantsch, Axel; Dutt, Nikil D; Liljeberg, Pasi

Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management Proceedings Article

In: Shakshuki, Elhadi M; -, Ansar-Ul (Ed.): The 10th International Conference on Ambient Systems, Networks and Technologies (ANT 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 (EDI40 2019) / Affiliated Workshops, April 29 - May 2, 2019, Leuven, Belgium, pp. 493–500, Elsevier, 2019.

Links | BibTeX

Moazzemi, Kasra; Maity, Biswadip; Yi, Saehanseul; Rahmani, Amir M; Dutt, Nikil D

HESSLE-FREE: Heterogeneous Systems Leveraging Fuzzy Control for Runtime Resource Management Journal Article

In: ACM Trans. Embed. Comput. Syst., vol. 18, no. 5s, pp. 74:1–74:19, 2019.

Links | BibTeX

Yang, Lei; Liu, Weichen; Guan, Nan; Dutt, Nikil D

Optimal Application Mapping and Scheduling for Network-on-Chips with Computation in STT-RAM Based Router Journal Article

In: IEEE Trans. Computers, vol. 68, no. 8, pp. 1174–1189, 2019.

Links | BibTeX

Beyeler, Michael; Rounds, Emily L; Carlson, Kristofor D; Dutt, Nikil D; Krichmar, Jeffrey L

Neural correlates of sparse coding and dimensionality reduction Journal Article

In: PLoS Comput. Biol., vol. 15, no. 6, 2019.

Links | BibTeX

í, André Lu; da Silva, Alzemiro Henrique Lucas; Rahmani, Amir M; Dutt, Nikil D; Moraes, Fernando Gehm

Hierarchical adaptive Multi-objective resource management for many-core systems Journal Article

In: J. Syst. Archit., vol. 97, pp. 416–427, 2019.

Links | BibTeX

í, André Lu; Garibotti, Rafael; Dutt, Nikil D; Moraes, Fernando Gehm

The power impact of hardware and software actuators on self-adaptable many-core systems Journal Article

In: J. Syst. Archit., vol. 97, pp. 42–53, 2019.

Links | BibTeX

Jeong, Gu-Min; Lee, Kyoungwoo; Choi, Sang-Il; Ji, Sang-Hoon; Dutt, Nikil D

Effect of Soft Errors in Iterative Learning Control and Compensation using Cross-layer Approach Journal Article

In: Int. J. Comput. Commun. Control, vol. 14, no. 3, pp. 359–374, 2019.

Links | BibTeX

Miele, Antonio; Kanduri, Anil; Moazzemi, Kasra; Juhász, Dávid; Rahmani, Amir M; Dutt, Nikil D; Liljeberg, Pasi; Jantsch, Axel

On-Chip Dynamic Resource Management Journal Article

In: Found. Trends Electron. Des. Autom., vol. 13, no. 1-2, pp. 1–14, 2019.

Links | BibTeX

Balaji, Adarsha; Song, Shihao; Das, Anup; Dutt, Nikil D; Krichmar, Jeff; Kandasamy, Nagarajan; Catthoor, Francky

A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing Journal Article

In: IEEE Comput. Archit. Lett., vol. 18, no. 2, pp. 149–152, 2019.

Links | BibTeX

Azimi, Iman; Oti, Olugbenga; Labbaf, Sina; é, Hannakaisa Niela-Vil; Axelin, Anna; Dutt, Nikil D; Liljeberg, Pasi; Rahmani, Amir M

Personalized Maternal Sleep Quality Assessment: An Objective IoT-based Longitudinal Study Journal Article

In: IEEE Access, vol. 7, pp. 93433–93447, 2019.

Links | BibTeX

2018

Amiri, Delaram; Anzanpour, Arman; Azimi, Iman; Levorato, Marco; Rahmani, Amir M.; Liljeberg, Pasi; Dutt, Nikil

Edge-Assisted Sensor Control in Healthcare IoT Proceedings Article

In: 2018 IEEE Global Communications Conference (GLOBECOM), pp. 1–6, 2018.

Abstract | Links | BibTeX

Hsieh, Chenying; Dutt, Nikil; Sani, Ardalan

The Case for Exploiting Underutilized Resources in Heterogeneous Mobile Architectures Conference

2018.

Abstract | Links | BibTeX

Moazzemi, K; Kanduri, A; Juhász, D; Miele, A; Rahmani, A M; Liljeberg, P; Jantsch, A; Dutt, N

Trends in On-chip Dynamic Resource Management Proceedings Article

In: 2018 21st Euromicro Conference on Digital System Design (DSD), pp. 62-69, 2018.

Links | BibTeX

Donvanavard, B; Monazzah, A M H; Dutt, N; Mück, T

Exploring Hybrid Memory Caches in Chip Multiprocessors Proceedings Article

In: 2018 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), pp. 1-8, 2018.

Links | BibTeX

Rahmani, Amir M.; Donyanavard, Bryan; Mück, Tiago; Moazzemi, Kasra; Jantsch, Axel; Mutlu, Onur; Dutt, Nikil

SPECTR: Formal Supervisory Control and Coordination for Many-core Systems Resource Management Conference

Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, ACM, New York, NY, USA, 2018, ISBN: 978-1-4503-4911-6.

Abstract | Links | BibTeX

Sagdighi, Armin; Donyanavard, Bryan; Kadeed, Thawra; Moazzemi, Kasra; Mück, Tiago; Nassar, Ahmed; Rahmani, Amir M.; Wild, Thomas; Dutt, Nikil; Ernst, Rolf; Herkersdorf, Andreas; Kurdahi, Fadi

Design Methodologies for Enabling Self-awareness in Autonomous Systems Conference

2018 Design, Automation Test in Europe Conference Exhibition (DATE), 2018, ISSN: 1558-1101.

Abstract | Links | BibTeX

Donyanavard, Bryan; Rahmani, Amir M.; Mück, Tiago; Moazzemi, Kasra; Dutt, Nikil

Gain Scheduled Control for Nonlinear Power Management in CMPs Conference

2018 Design, Automation Test in Europe Conference Exhibition (DATE), 2018.

Abstract | Links | BibTeX

Betemps, Carlos Michel; Melo, Mateus Santos De; Rahmani, Amir M; Miele, Antonio; Dutt, Nikil D; Zatt, Bruno

Exploring Heterogeneous Task-Level Parallelism in a BMA Video Coding Application using System-Level Simulation Proceedings Article

In: VIII Brazilian Symposium on Computing Systems Engineering, SBESC 2018, Salvador, Brazil, November 5-8, 2018, pp. 75–82, IEEE, 2018.

Links | BibTeX

Mück, Tiago; Fröhlich, Antonio A.; Gracioli, Giovani; Rahmani, Amir M; Reis, João Gabriel; Dutt, Nikil D

CHIPS-AHOy: a predictable holistic cyber-physical hypervisor for MPSoCs Proceedings Article

In: Mudge, Trevor N; Pnevmatikatos, Dionisios N (Ed.): Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018, pp. 73–80, ACM, 2018.

Links | BibTeX

Shamsa, Elham; Kanduri, Anil; Rahmani, Amir M; Liljeberg, Pasi; Jantsch, Axel; Dutt, Nikil D

Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip Resource Allocation Proceedings Article

In: Nurmi, Jari; Ellervee, Peeter; Mihhailov, Juri; Jenihhin, Maksim; ä, Kalle Tammem (Ed.): 2018 IEEE Nordic Circuits and Systems Conference, NORCAS 2018: NORCHIP and International Symposium of System-on-Chip (SoC), Tallinn, Estonia, October 30-31, 2018, pp. 1–4, IEEE, 2018.

Links | BibTeX

563 entries « 2 of 12 »