Publications

563 entries « 3 of 12 »

2018

Jantsch, Axel; Anzanpour, Arman; Kholerdi, Hedyeh A; Azimi, Iman; Siafara, Lydia C; Rahmani, Amir M; Taherinejad, Nima; Liljeberg, Pasi; Dutt, Nikil D

Hierarchical dynamic goal management for IoT systems Proceedings Article

In: 19th International Symposium on Quality Electronic Design, ISQED 2018, Santa Clara, CA, USA, March 13-14, 2018, pp. 370–375, IEEE, 2018.

Links | BibTeX

Dutt, Nikil D

Self-Awareness for Heterogeneous MPSoCs: A Case Study using Adaptive, Reflective Middleware Proceedings Article

In: Chen, Deming; Homayoun, Houman; Taskin, Baris (Ed.): Proceedings of the 2018 on Great Lakes Symposium on VLSI, GLSVLSI 2018, Chicago, IL, USA, May 23-25, 2018, pp. 3, ACM, 2018.

Links | BibTeX

Kanduri, Anil; Miele, Antonio; Rahmani, Amir M; Liljeberg, Pasi; Bolchini, Cristiana; Dutt, Nikil D

Approximation-aware coordinated power/performance management for heterogeneous multi-cores Proceedings Article

In: Proceedings of the 55th Annual Design Automation Conference, DAC 2018, San Francisco, CA, USA, June 24-29, 2018, pp. 68:1–68:6, ACM, 2018.

Links | BibTeX

Mück, Tiago; Donyanavard, Bryan; Moazzemi, Kasra; Rahmani, Amir M; Jantsch, Axel; Dutt, Nikil D

Design Methodology for Responsive and Rrobust MIMO Control of Heterogeneous Multicores Journal Article

In: IEEE Trans. Multi Scale Comput. Syst., vol. 4, no. 4, pp. 944–951, 2018.

Links | BibTeX

Shoushtari, Majid; Donyanavard, Bryan; Bathen, Luis Angel D; Dutt, Nikil D

ShaVe-ICE: Sharing Distributed Virtualized SPMs in Many-Core Embedded Systems Journal Article

In: ACM Trans. Embed. Comput. Syst., vol. 17, no. 2, pp. 47:1–47:25, 2018.

Links | BibTeX

Park, Jurn-Gyu; Hsieh, Chen-Ying; Dutt, Nikil D; Lim, Sung-Soo

Synergistic CPU-GPU Frequency Capping for Energy-Efficient Mobile Games Journal Article

In: ACM Trans. Embed. Comput. Syst., vol. 17, no. 2, pp. 45:1–45:24, 2018.

Links | BibTeX

Liu, Weichen; Yang, Lei; Jiang, Weiwen; Feng, Liang; Guan, Nan; Zhang, Wei; Dutt, Nikil D

Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip Based Multiprocessor System-on-Chip Journal Article

In: IEEE Trans. Computers, vol. 67, no. 12, pp. 1818–1834, 2018.

Links | BibTeX

ö, Mischa M; Schlatow, Johannes; Ernst, Rolf; Dutt, Nikil D; Nassar, Ahmed; Rahmani, Amir M; Kurdahi, Fadi J; Wild, Thomas; Sadighi, Armin; Herkersdorf, Andreas

Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in CPS Journal Article

In: Proceedings of the IEEE, vol. 106, no. 9, pp. 1543–1567, 2018.

Links | BibTeX

Das, Anup; Pradhapan, Paruthi; Groenendaal, Willemijn; Adiraju, Prathyusha; Rajan, Raj Thilak; Catthoor, Francky; Schaafsma, Siebren; Krichmar, Jeffrey L; Dutt, Nikil D; Hoof, Chris Van

Unsupervised heart-rate estimation in wearables with Liquid states and a probabilistic readout Journal Article

In: Neural Networks, vol. 99, pp. 134–147, 2018.

Links | BibTeX

Shahhosseini, Sina; Moazzemi, Kasra; Rahmani, Amir M; Dutt, Nikil D

On the feasibility of SISO control-theoretic DVFS for power capping in CMPs Journal Article

In: Microprocess. Microsystems, vol. 63, pp. 249–258, 2018.

Links | BibTeX

Rahmani, Amir M; Jantsch, Axel; Dutt, Nikil D

HDGM: Hierarchical Dynamic Goal Management for Many-Core Resource Allocation Journal Article

In: IEEE Embed. Syst. Lett., vol. 10, no. 3, pp. 61–64, 2018.

Links | BibTeX

Dutt, Nikil D; Jantsch, Axel

Guest Editorial: Special Issue on Self-Aware Systems on Chip Journal Article

In: IEEE Des. Test, vol. 35, no. 5, pp. 5–6, 2018.

Links | BibTeX

Hsieh, Chen-Ying; Park, Jurn-Gyu; Dutt, Nikil D; Lim, Sung-Soo

MEMCOP: memory-aware co-operative power management governor for mobile games Journal Article

In: Design Autom. for Emb. Sys., vol. 22, no. 1-2, pp. 95–116, 2018.

Links | BibTeX

Kashyap, Hirak J; Detorakis, Georgios; Dutt, Nikil; Krichmar, Jeffrey L; Neftci, Emre

A Recurrent Neural Network Based Model of Predictive Smooth Pursuit Eye Movement in Primates Conference

International Joint Conference on Neural Networks (IJCNN), 2018.

Links | BibTeX

Chou, Ting-Shuo; Kashyap, Hirak J; Xing, Jinwei; Listopad, Stanislav; Rounds, Emily L

CARLsim 4: An Open Source Library for Large Scale, Biologically Detailed Spiking Neural Network Simulation using Heterogeneous Clusters Conference

International Joint Conference on Neural Networks (IJCNN), 2018.

Links | BibTeX

Nejatollahi, Hamid; Dutt, Nikil; Banerjee, Indranil; Cammarota, Rosario

Domain-specific Accelerators for Ideal Lattice-based Public Key Protocols Miscellaneous

Cryptology ePrint Archive, Report 2018/608, 2018, (urlhttps://eprint.iacr.org/2018/608).

Abstract | Links | BibTeX

2017

Nejatollahi, Hamid; Dutt, Nikil; Cammarota, Rosario

Trends, Challenges and Needs for Lattice-based Cryptography Implementations: Special Session Proceedings Article

In: Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, pp. 6:1–6:3, ACM, Seoul, Republic of Korea, 2017, ISBN: 978-1-4503-5185-0.

Links | BibTeX

Azimi, Iman; Anzanpour, Arman; Rahmani, Amir M; Pahikkala, Tapio; Levorato, Marco; Liljeberg, Pasi; Dutt, Nikil D

HiCH: Hierarchical Fog-Assisted Computing Architecture for Healthcare IoT Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 16, no. 5, pp. 174:1–174:20, 2017.

Links | BibTeX

Park, Young-Hwan; Khajeh, Amin; Shin, Jun Yong; Kurdahi, Fadi J; Eltawil, Ahmed M; Dutt, Nikil D

Microarchitecture-Level SoC Design Book Section

In: Ha, Soonhoi; ü, J (Ed.): Handbook of Hardware/Software Codesign, pp. 867–913, Springer, 2017.

Links | BibTeX

Sarma, Santanu; Dutt, Nikil D

Architecture and Cross-Layer Design Space Exploration Book Section

In: Ha, Soonhoi; ü, J (Ed.): Handbook of Hardware/Software Codesign, pp. 247–270, Springer, 2017.

Links | BibTeX

Shoushtari, Majid; Rahmani, Amir M; Dutt, Nikil D

Quality-configurable memory hierarchy through approximation: special session Proceedings Article

In: Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2017, Seoul, Republic of Korea, October 15-20, 2017, pp. 2:1–2:2, ACM, 2017.

Links | BibTeX

Kanduri, Anil; Haghbayan, Mohammad Hashem; Rahmani, Amir M; Liljeberg, Pasi; Jantsch, Axel; Tenhunen, Hannu; Dutt, Nikil D

Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications Journal Article

In: IEEE Trans. Very Large Scale Integr. Syst., vol. 25, no. 10, pp. 2749–2762, 2017.

Links | BibTeX

Shoushtari, Majid; Dutt, Nikil D

SAM: Software-Assisted Memory Hierarchy for Scalable Manycore Embedded Systems Journal Article

In: IEEE Embed. Syst. Lett., vol. 9, no. 4, pp. 109–112, 2017.

Links | BibTeX

Jantsch, Axel; Dutt, Nikil D; Rahmani, Amir M

Self-Awareness in Systems on Chip - A Survey Journal Article

In: IEEE Des. Test, vol. 34, no. 6, pp. 8–26, 2017.

Links | BibTeX

Nejatollahi, Hamid; Dutt, Nikil; Ray, Sandip; Regazzoni, Francesco; Banerjee, Indranil; Cammarota, Rosario

Software and Hardware Implementation of Lattice-Cased Cryptography Schemes Technical Report

University of California Irvine, no. CECS TR 17-04, 2017.

Abstract | Links | BibTeX

Jantsch, Axel; Dutt, Nikil D

Guest Editorial: Special Issue on Self-Aware Systems on Chip Journal Article

In: IEEE Design & Test, vol. 34, no. 6, pp. 6–7, 2017.

Links | BibTeX

Mück, Tiago Rogério; Ghaderi, Zana; Dutt, Nikil D; Bozorgzadeh, Eli

Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile Platforms Journal Article

In: IEEE Trans. Multi-Scale Computing Systems, vol. 3, no. 1, pp. 25–35, 2017.

Links | BibTeX

Donyanavard, Bryan; Monazzah, Amir Mahdi Hosseini; Mück, Tiago; Dutt, Nikil D

Exploring fast and slow memories in HMP core types: work-in-progress Proceedings Article

In: Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, CODES+ISSS 2017, Seoul, Republic of Korea, October 15-20, 2017, pp. 4:1–4:2, 2017.

Links | BibTeX

Anzanpour, Arman; Azimi, Iman; Gotzinger, Maximilian; Rahmani, Amir M; Taherinejad, Nima; Liljeberg, Pasi; Jantsch, Axel; Dutt, Nikil D

Self-awareness in remote health monitoring systems using wearable electronics Proceedings Article

In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2017, Lausanne, Switzerland, March 27-31, 2017, pp. 1056–1061, 2017.

Links | BibTeX

Park, Jurn-Gyu; Dutt, Nikil D; Lim, Sung-Soo

ML-Gov: a machine learning enhanced integrated CPU-GPU DVFS governor for mobile gaming Proceedings Article

In: Proceedings of the 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, ESTImedia 2017, Seoul, Republic of Korea, October 15 - 20, 2017, pp. 12–21, 2017.

Links | BibTeX

Monazzah, Amir Mahdi Hosseini; Shoushtari, Majid; Miremadi, Seyed Ghassem; Rahmani, Amir M; Dutt, Nikil D

QuARK: Quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs Proceedings Article

In: 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2017, Taipei, Taiwan, July 24-26, 2017, pp. 1–6, 2017.

Links | BibTeX

Aliee, Hananeh; BanaiyanMofrad, Abbas; ß, Michael Gla; ü, J; Dutt, Nikil D

Redundancy-aware Design Space Exploration for Memory Reliability in Many-cores Proceedings Article

In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, MBMV 2017, Bremen, Germany, February 8-9, 2017., pp. 1–12, 2017.

BibTeX

Dutt, Nikil D; Rahmani, Amir M; Jantsch, Axel

Empowering autonomy through self-awareness in MPSoCs Proceedings Article

In: 15th IEEE International New Circuits and Systems Conference, NEWCAS 2017, Strasbourg, France, June 25-28, 2017, pp. 73–76, 2017.

Links | BibTeX

Shahosseini, Sina; Moazzemi, Kasra; Rahmani, Amir M; Dutt, Nikil D

Dependability evaluation of SISO control-theoretic power managers for processor architectures Proceedings Article

In: IEEE Nordic Circuits and Systems Conference, NORCAS 2017: NORCHIP and International Symposium of System-on-Chip (SoC), Linköping, Sweden, October 23-25, 2017, pp. 1–6, 2017.

Links | BibTeX

Mück, Tiago; Donyanavard, Bryan; Dutt, Nikil D

PoIiCym: rapid prototyping of resource management policies for HMPs Proceedings Article

In: International Symposium on Rapid System Prototyping, RSP 2017, Shortening the Path from Specification to Prototype, October 19-20, 2017, Seoul, South Korea., pp. 23–29, 2017.

Links | BibTeX

Das, Anup; Pradhapan, Paruthi; Groenendaal, Willemijn; Adiraju, Prathyusha; Rajan, Raj Thilak; Catthoor, Francky; Schaafsma, Siebren; Krichmar, Jeffrey L; Dutt, Nikil D; Hoof, Chris Van

Unsupervised Heart-rate Estimation in Wearables With Liquid States and A Probabilistic Readout Journal Article

In: CoRR, vol. abs/1708.05356, 2017.

Links | BibTeX

Detorakis, Georgios; Sheik, Sadique; Augustine, Charles; Paul, Somnath; Pedroni, Bruno U; Dutt, Nikil D; Krichmar, Jeffrey L; Cauwenberghs, Gert; Neftci, Emre

Neural and Synaptic Array Transceiver: A Brain-Inspired Computing Framework for Embedded Learning Journal Article

In: CoRR, vol. abs/1709.10205, 2017.

Links | BibTeX

2016

Jeong, Gu Min; Park, Chang Woo; Choi, Sang Il; Lee, Kyoungwoo; Dutt, Nikil D

Robust Face Recognition Against Soft-errors Using a Cross-layer Approach Journal Article

In: Int. J. Comput. Commun. Control, vol. 11, no. 5, pp. 657–665, 2016.

Links | BibTeX

Shrivastava, Aviral; Dutt, Nikil D; Cai, Jian; Shoushtari, Majid; Donyanavard, Bryan; Tajik, Hossein

Automatic management of Software Programmable Memories in Many-core Architectures Journal Article

In: IET Computers & Digital Techniques, vol. 10, no. 6, pp. 288–298, 2016.

Links | BibTeX

Dutt, Nikil D; Jantsch, Axel; Sarma, Santanu

Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 15, no. 2, pp. 22:1–22:27, 2016.

Links | BibTeX

Tajik, Hossein; Donyanavard, Bryan; Dutt, Nikil D; Jahn, Janmartin; ö, J

SPMPool: Runtime SPM Management for Memory-Intensive Applications in Embedded Many-Cores Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 16, no. 1, pp. 25:1–25:27, 2016.

Links | BibTeX

Sarma, Santanu; Mück, Tiago; Shoushtari, Majid; BanaiyanMofrad, Abbas; Dutt, Nikil D

Cross-layer virtual/physical sensing and actuation for resilient heterogeneous many-core SoCs Proceedings Article

In: 21st Asia and South Pacific Design Automation Conference, ASP-DAC 2016, Macao, Macao, January 25-28, 2016, pp. 395–402, 2016.

Links | BibTeX

Donyanavard, Bryan; Mück, Tiago; Sarma, Santanu; Dutt, Nikil D

SPARTA: runtime task allocation for energy efficient heterogeneous many-cores Proceedings Article

In: Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016, pp. 27:1–27:10, 2016.

Links | BibTeX

Dutt, Nikil D; Kurdahi, Fadi J; Ernst, Rolf; Herkersdorf, Andreas

Conquering MPSoC complexity with principles of a self-aware information processing factory Proceedings Article

In: Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016, pp. 37:1–37:4, 2016.

Links | BibTeX

Tajik, Hossein; Donyanavard, Bryan; Dutt, Nikil D

On Detecting and Using Memory Phases in Multimedia Systems Proceedings Article

In: Proceedings of the 14th ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2016, Pittsburgh, PA, USA, October 6-7, 2016, pp. 57–66, 2016.

Links | BibTeX

Kanduri, Anil; Haghbayan, Mohammad Hashem; Rahmani, Amir-Mohammad; Liljeberg, Pasi; Jantsch, Axel; Dutt, Nikil D; Tenhunen, Hannu

Approximation knob: power capping meets energy efficiency Proceedings Article

In: Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD 2016, Austin, TX, USA, November 7-10, 2016, pp. 122, 2016.

Links | BibTeX

Park, Jurn-Gyu; Dutt, Nikil D; Kim, Hoyeonjiki; Lim, Sung-Soo

HiCAP: Hierarchical FSM-based Dynamic Integrated CPU-GPU Frequency Capping Governor for Energy-Efficient Mobile Gaming Proceedings Article

In: Proceedings of the 2016 International Symposium on Low Power Electronics and Design, ISLPED 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016, pp. 218–223, 2016.

Links | BibTeX

Moazzemi, Kasra; Hsieh, Chen-Ying; Dutt, Nikil D

HAMEX: heterogeneous architecture and memory exploration framework Proceedings Article

In: 2016 International Symposium on Rapid System Prototyping, RSP 2016, Pittsburg, PA, USA, October 6-7, 2016, pp. 100–106, 2016.

Links | BibTeX

Park, Jurn-Gyu; Hsieh, Chen-Ying; Dutt, Nikil D; Lim, Sung-Soo

Co-Cap: energy-efficient cooperative CPU-GPU frequency capping for mobile games Proceedings Article

In: Proceedings of the 31st Annual ACM Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016, pp. 1717–1723, 2016.

Links | BibTeX

Dutt, Nikil D; Taherinejad, Nima

Self-Awareness in Cyber-Physical Systems Proceedings Article

In: 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, VLSID 2016, Kolkata, India, January 4-8, 2016, pp. 5–6, 2016.

Links | BibTeX

563 entries « 3 of 12 »