Publications

563 entries « 4 of 12 »

2015

Halvorsen, Pål; Dutt, Nikil D (Ed.)

Proceedings of the 7th ACM International Workshop on Mobile Video, MoVid 2015, Portland, OR, USA, March 18-20, 2015 Proceedings

ACM, 2015, ISBN: 978-1-4503-3353-5.

Links | BibTeX

Shoushtari, Majid; BanaiyanMofrad, Abbas; Dutt, Nikil D

Exploiting Partially-Forgetful Memories for Approximate Computing Journal Article

In: Embedded Systems Letters, vol. 7, no. 1, pp. 19–22, 2015.

Links | BibTeX

Shin, Jun Yong; Kurdahi, Fadi J; Dutt, Nikil D

Cooperative On-Chip Temperature EstimationUsing Multiple Virtual Sensors Journal Article

In: Embedded Systems Letters, vol. 7, no. 2, pp. 37–40, 2015.

Links | BibTeX

Wanner, Lucas Francisco; Lai, Liangzhen; Rahimi, Abbas; Gottscho, Mark; Mercati, Pietro; Huang, Chu-Hsiang; Sala, Frederic; Agarwal, Yuvraj; Dolecek, Lara; Dutt, Nikil D; Gupta, Puneet; Gupta, Rajesh K; Jhala, Ranjit; Kumar, Rakesh; Lerner, Sorin; Mitra, Subhasish; Nicolau, Alexandru; Rosing, Tajana Simunic; Srivastava, Mani B; Swanson, Steven; Sylvester, Dennis; Zhou, Yuanyuan

NSF expedition on variability-aware software: Recent results and contributions Journal Article

In: it - Information Technology, vol. 57, no. 3, pp. 181–198, 2015.

Links | BibTeX

Krichmar, Jeffrey L; Coussy, Philippe; Dutt, Nikil D

Large-Scale Spiking Neural Networks using Neuromorphic Hardware Compatible Models Journal Article

In: JETC, vol. 11, no. 4, pp. 36:1–36:18, 2015.

Links | BibTeX

Beyeler, Michael; Oros, Nicolas; Dutt, Nikil D; Krichmar, Jeffrey L

A GPU-accelerated cortical neural network model for visually guided robot navigation Journal Article

In: Neural Networks, vol. 72, pp. 75–87, 2015.

Links | BibTeX

Gottscho, Mark; BanaiyanMofrad, Abbas; Dutt, Nikil D; Nicolau, Alex; Gupta, Puneet

DPCS: Dynamic Power/Capacity Scaling for SRAM Caches in the Nanoscale Era Journal Article

In: TACO, vol. 12, no. 3, pp. 27:1–27:26, 2015.

Links | BibTeX

Gottscho, Mark; Bathen, Luis Angel D; Dutt, Nikil D; Nicolau, Alex; Gupta, Puneet

ViPZonE: Hardware Power Variability-Aware Virtual Memory Management for Energy Savings Journal Article

In: IEEE Trans. Computers, vol. 64, no. 5, pp. 1483–1496, 2015.

Links | BibTeX

BanaiyanMofrad, Abbas; Homayoun, Houman; Dutt, Nikil D

Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra Low Voltage Operation Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 14, no. 2, pp. 32:1–32:24, 2015.

Links | BibTeX

Mück, Tiago; Sarma, Santanu; Dutt, Nikil D

Run-DMC: Runtime dynamic heterogeneous multicore performance and power estimation for energy efficiency Proceedings Article

In: 2015 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2015, Amsterdam, Netherlands, October 4-9, 2015, pp. 173–182, 2015.

Links | BibTeX

Balaji, Bharathan; Faruque, Mohammad Abdullah Al; Dutt, Nikil D; Gupta, Rajesh K; Agarwal, Yuvraj

Models, abstractions, and architectures: the missing links in cyber-physical systems Proceedings Article

In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 82:1–82:6, 2015.

Links | BibTeX

Sarma, Santanu; Mück, Tiago; Bathen, Luis Angel D; Dutt, Nikil D; Nicolau, Alexandru

SmartBalance: a sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs Proceedings Article

In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 109:1–109:6, 2015.

Links | BibTeX

Sarma, Santanu; Dutt, Nikil D; Gupta, Puneet; Venkatasubramanian, Nalini; Nicolau, Alexandru

Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm with cross-layer virtual sensing and actuation Proceedings Article

In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pp. 625–628, 2015.

Links | BibTeX

Hsieh, Chen-Ying; Park, Jurn-Gyu; Dutt, Nikil D; Lim, Sung-Soo

Memory-aware cooperative CPU-GPU DVFS governor for mobile games Proceedings Article

In: 13th IEEE Symposium on Embedded Systems For Real-time Multimedia, ESTIMedia 2015, Amsterdam, The Netherlands, October 8-9, 2015, pp. 1–8, 2015.

Links | BibTeX

BanaiyanMofrad, Abbas; Ebrahimi, Mojtaba; Oboril, Fabian; Tahoori, Mehdi Baradaran; Dutt, Nikil D

Protecting caches against multi-bit errors using embedded erasure coding Proceedings Article

In: 20th IEEE European Test Symposium, ETS 2015, Cluj-Napoca, Romania, 25-29 May, 2015, pp. 1–6, 2015.

Links | BibTeX

Dutt, Nikil D; Jantsch, Axel; Sarma, Santanu

Self-Aware Cyber-Physical Systems-on-Chip Proceedings Article

In: Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2015, Austin, TX, USA, November 2-6, 2015, pp. 46–50, 2015.

Links | BibTeX

Beyeler, Michael; Carlson, Kristofor D; Chou, Ting-Shuo; Dutt, Nikil D; Krichmar, Jeffrey L

CARLsim 3: A user-friendly and highly optimized library for the creation of neurobiologically detailed spiking neural networks Proceedings Article

In: 2015 International Joint Conference on Neural Networks, IJCNN 2015, Killarney, Ireland, July 12-17, 2015, pp. 1–8, 2015.

Links | BibTeX

Shin, Jun Yong; Kurdahi, Fadi J; Dutt, Nikil D

Thermal sensor allocation for SoCs based on temperature gradients Proceedings Article

In: Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015, pp. 29–34, 2015.

Links | BibTeX

Dang, Nga; Tajik, Hossein; Dutt, Nikil D; Venkatasubramanian, Nalini; Bozorgzadeh, Eli

Orchestrated application quality and energy storage management in solar-powered embedded systems Proceedings Article

In: Sixteenth International Symposium on Quality Electronic Design, ISQED 2015, Santa Clara, CA, USA, March 2-4, 2015, pp. 227–233, 2015.

Links | BibTeX

Levorato, Marco; Venkatasubramanian, Nalini; Dutt, Nikil D

Heat-aware transmission strategies Proceedings Article

In: 2015 Information Theory and Applications Workshop, ITA 2015, San Diego, CA, USA, February 1-6, 2015, pp. 154–162, 2015.

Links | BibTeX

Sarma, Santanu; Dutt, Nikil D

Cross-Layer Exploration of Heterogeneous Multicore Processor Configurations Proceedings Article

In: 28th International Conference on VLSI Design, VLSID 2015, Bangalore, India, January 3-7, 2015, pp. 147–152, 2015.

Links | BibTeX

2014

Beyeler, Michael; Richert, Micah; Dutt, Nikil D; Krichmar, Jeffrey L

Efficient Spiking Neural Network Model of Pattern Motion Selectivity in Visual Cortex Journal Article

In: Neuroinformatics, vol. 12, no. 3, pp. 435–454, 2014.

Links | BibTeX

Wang, Yi; Huang, Min; Shao, Zili; Chan, Henry C B; Bathen, Luis Angel D; Dutt, Nikil D

A Reliability-Aware Address Mapping Strategy for NAND Flash Memory Storage Systems Journal Article

In: IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 33, no. 11, pp. 1623–1631, 2014.

Links | BibTeX

BanaiyanMofrad, Abbas; ã, Gustavo Gir; Dutt, Nikil D

NoC-based fault-tolerant cache design in chip multiprocessors Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 13, no. 3s, pp. 115:1–115:26, 2014.

Links | BibTeX

Bathen, Luis Angel D; Dutt, Nikil D

Embedded RAIDs-on-chip for bus-based chip-multiprocessors Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 13, no. 4, pp. 83:1–83:36, 2014.

Links | BibTeX

Dutt, Nikil D; Tahoori, Mehdi Baradaran

Introduction to Special Issue on Cross-layer Dependable Embedded Systems Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 13, no. 4s, pp. 136:1–136:2, 2014.

Links | BibTeX

Chakraborty, Arup; Homayoun, Houman; Djahromi, Amin Khajeh; Dutt, Nikil D; Eltawil, Ahmed M; Kurdahi, Fadi J

Multicopy Cache: A Highly Energy-Efficient Cache Architecture Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 13, no. 5s, pp. 150:1–150:27, 2014.

Links | BibTeX

Bathen, Luis Angel D; Dutt, Nikil D

emphSPMCloud: Towards the Single-Chip Embedded ScratchPad Memory-Based Storage Cloud Journal Article

In: ACM Trans. Design Autom. Electr. Syst., vol. 19, no. 3, pp. 22:1–22:45, 2014.

Links | BibTeX

Wang, Yi; Shao, Zili; Chan, Henry C B; Bathen, Luis Angel D; Dutt, Nikil D

A Reliability Enhanced Address Mapping Strategy for Three-Dimensional (3-D) NAND Flash Memory Journal Article

In: IEEE Trans. VLSI Syst., vol. 22, no. 11, pp. 2402–2410, 2014.

Links | BibTeX

Carlson, Kristofor D; Beyeler, Michael; Dutt, Nikil D; Krichmar, Jeffrey L

GPGPU accelerated simulation and parameter tuning for neuromorphic applications Proceedings Article

In: 19th Asia and South Pacific Design Automation Conference, ASP-DAC 2014, Singapore, January 20-23, 2014, pp. 570–577, 2014.

Links | BibTeX

Sarma, Santanu; Dutt, Nikil D; Gupta, Puneet; Nicolau, Alexandru; Venkatasubramanian, Nalini

On-chip self-awareness using Cyberphysical-Systems-on-Chip (CPSoC) Proceedings Article

In: 2014 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2014, Uttar Pradesh, India, October 12-17, 2014, pp. 22:1–22:3, 2014.

Links | BibTeX

Dutt, Nikil D; Gupta, Puneet; Nicolau, Alex; BanaiyanMofrad, Abbas; Gottscho, Mark; Shoushtari, Majid

Multi-Layer Memory Resiliency Proceedings Article

In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 48:1–48:6, 2014.

Links | BibTeX

Sarma, Santanu; Venkatasubramanian, Nalini; Dutt, Nikil D

Sense-making from Distributed and Mobile Sensing Data: A Middleware Perspective Proceedings Article

In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 68:1–68:6, 2014.

Links | BibTeX

Gottscho, Mark; BanaiyanMofrad, Abbas; Dutt, Nikil D; Nicolau, Alex; Gupta, Puneet

Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant Caches Proceedings Article

In: The 51st Annual Design Automation Conference 2014, DAC '14, San Francisco, CA, USA, June 1-5, 2014, pp. 100:1–100:6, 2014.

Links | BibTeX

Sarma, Santanu; Dutt, Nikil D

Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking Proceedings Article

In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1–6, 2014.

Links | BibTeX

Park, Jurn-Gyu; Hsieh, Chen-Ying; Dutt, Nikil D; Lim, Sung-Soo

Quality-aware mobile graphics workload characterization for energy-efficient DVFS design Proceedings Article

In: 12th IEEE Symposium on Embedded Systems for Real-time Multimedia, ESTIMedia 2014, Greater Noida, India, October 16-17, 2014, pp. 70–79, 2014.

Links | BibTeX

Sarma, Santanu; Dutt, Nikil D

FPGA emulation and prototyping of a cyberphysical-system-on-chip (CPSoC) Proceedings Article

In: 25nd IEEE International Symposium on Rapid System Prototyping, RSP 2014, New Delhi, India, October 16-17, 2014, pp. 121–127, 2014.

Links | BibTeX

2013

Bathen, Luis Angel D; Shin, Dongyoun; Lim, Sung-Soo; Dutt, Nikil D

Virtualizing on-chip distributed ScratchPad memories for low power and trusted application execution Journal Article

In: Design Autom. for Emb. Sys., vol. 17, no. 2, pp. 377–409, 2013.

Links | BibTeX

Avery, Michael C; Dutt, Nikil D; Krichmar, Jeffrey L

A large-scale neural network model of the influence of neuromodulatory levels on working memory and behavior Journal Article

In: Front. Comput. Neurosci., vol. 2013, 2013.

Links | BibTeX

Beyeler, Michael; Dutt, Nikil D; Krichmar, Jeffrey L

Categorization and decision-making in a neurobiologically plausible spiking network using a STDP-like learning rule Journal Article

In: Neural Networks, vol. 48, pp. 109–124, 2013.

Links | BibTeX

Gupta, Puneet; Agarwal, Yuvraj; Dolecek, Lara; Dutt, Nikil D; Gupta, Rajesh K; Kumar, Rakesh; Mitra, Subhasish; Nicolau, Alexandru; Rosing, Tajana Simunic; Srivastava, Mani B; Swanson, Steven; Sylvester, Dennis

Underdesigned and Opportunistic Computing in Presence of Hardware Variability Journal Article

In: IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 32, no. 1, pp. 8–23, 2013.

Links | BibTeX

Bathen, Luis Angel D; Ahn, Yongjin; Pasricha, Sudeep; Dutt, Nikil D

MultiMaKe: Chip-multiprocessor driven memory-aware kernel pipelining Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 12, no. 1s, pp. 59:1–59:25, 2013.

Links | BibTeX

Dutt, Nikil D; Gupta, Puneet; Nicolau, Alex; Bathen, Luis Angel D; Gottscho, Mark

Variability-aware memory management for nanoscale computing Proceedings Article

In: 18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013, pp. 125–132, 2013.

Links | BibTeX

Hara-Azumi, Yuko; Azumi, Takuya; Dutt, Nikil D

VISA synthesis: Variation-aware Instruction Set Architecture synthesis Proceedings Article

In: 18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013, Yokohama, Japan, January 22-25, 2013, pp. 243–248, 2013.

Links | BibTeX

Carlson, Kristofor D; Nageswaran, Jayram Moorkanikara; Dutt, Nikil D; Krichmar, Jeffrey L

Design space exploration and parameter tuning for neuromorphic applications Proceedings Article

In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2013, Montreal, QC, Canada, September 29 - October 4, 2013, pp. 20:1–20:2, 2013.

Links | BibTeX

Namaki-Shoushtari, Majid; Rahimi, Abbas; Dutt, Nikil D; Gupta, Puneet; Gupta, Rajesh K

ARGO: Aging-aware GPGPU register file allocation Proceedings Article

In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2013, Montreal, QC, Canada, September 29 - October 4, 2013, pp. 30:1–30:9, 2013.

Links | BibTeX

ö, J; Bauer, Lars; Dutt, Nikil D; Gupta, Puneet; Nassif, Sani R; Shafique, Muhammad; Tahoori, Mehdi Baradaran; Wehn, Norbert

Reliable on-chip systems in the nano-era: lessons learnt and future trends Proceedings Article

In: The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, pp. 99:1–99:10, 2013.

Links | BibTeX

Tajik, Hossein; Homayoun, Houman; Dutt, Nikil D

VAWOM: temperature and process variation aware wearout management in 3D multicore architecture Proceedings Article

In: The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013, pp. 178:1–178:8, 2013.

Links | BibTeX

BanaiyanMofrad, Abbas; Dutt, Nikil D; ã, Gustavo Gir

Modeling and analysis of fault-tolerant distributed memories for networks-on-chip Proceedings Article

In: Design, Automation and Test in Europe, DATE 13, Grenoble, France, March 18-22, 2013, pp. 1605–1608, 2013.

Links | BibTeX

Dutt, Nikil D

Outlook for many-core systems: Cloudy with a chance of virtualization Proceedings Article

In: 18th IEEE European Test Symposium, ETS 2013, Avignon, France, May 27-30, 2013, pp. 1, 2013.

Links | BibTeX

563 entries « 4 of 12 »