Publications

563 entries « 9 of 12 »

2004

Mamidipaka, Mahesh; Khouri, Kamal S; Dutt, Nikil D; Abadir, Magdy S

IDAP: a tool for high-level power estimation of custom array structures Journal Article

In: IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 23, no. 9, pp. 1361–1369, 2004.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D

Modeling and validation of pipeline specifications Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 3, no. 1, pp. 114–139, 2004.

Links | BibTeX

Mishra, Prabhat; Mamidipaka, Mahesh; Dutt, Nikil D

Processor-memory coexploration using an architecture description language Journal Article

In: ACM Trans. Embedded Comput. Syst., vol. 3, no. 1, pp. 140–162, 2004.

Links | BibTeX

Shrivastava, Aviral; Earlie, Eugene; Dutt, Nikil D; Nicolau, Alexandru

Operation tables for scheduling in the presence of incomplete bypassing Proceedings Article

In: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 194–199, 2004.

Links | BibTeX

Pasricha, Sudeep; Dutt, Nikil D; Ben-Romdhane, Mohamed

Fast exploration of bus-based on-chip communication architectures Proceedings Article

In: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 242–247, 2004.

Links | BibTeX

Pasricha, Sudeep; Dutt, Nikil D; Ben-Romdhane, Mohamed

Extending the transaction level modeling approach for fast communication architecture exploration Proceedings Article

In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 113–118, 2004.

Links | BibTeX

Kejariwal, Arun; Gupta, Sumit; Nicolau, Alexandru; Dutt, Nikil D; Gupta, Rajesh

Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices Proceedings Article

In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 556–561, 2004.

Links | BibTeX

Biswas, Partha; Choudhary, Vinay; Atasu, Kubilay; Pozzi, Laura; Ienne, Paolo; Dutt, Nikil D

Introduction of local memory elements in instruction set extensions Proceedings Article

In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 729–734, 2004.

Links | BibTeX

Gupta, Sumit; Dutt, Nikil D; Gupta, Rajesh; Nicolau, Alexandru

Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow Proceedings Article

In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 114–121, 2004.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D

Graph-Based Functional Test Program Generation for Pipelined Processors Proceedings Article

In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 182–187, 2004.

Links | BibTeX

Bansal, Nikhil; Gupta, Sumit; Dutt, Nikil D; Nicolau, Alexandru; Gupta, Rajesh

Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures Proceedings Article

In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 474–479, 2004.

Links | BibTeX

Antwerpen, Hans Van; Dutt, Nikil D; Gupta, Rajesh K; Mohapatra, Shivajit; Pereira, Cristiano; Venkatasubramanian, Nalini; von Vignau, Ralph

Energy-Aware System Design for Wireless Multimedia Proceedings Article

In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 1124–1131, 2004.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D

Functional Validation of Programmable Architectures Proceedings Article

In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 12–19, 2004.

Links | BibTeX

Bansal, Nikhil; Gupta, Sumit; Dutt, Nikil D; Nicolau, Alexandru; Gupta, Rajesh K

Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures Proceedings Article

In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 891–899, 2004.

Links | BibTeX

Banerjee, Sudarshan; Dutt, Nikil D

FIFO power optimization for on-chip networks Proceedings Article

In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 187–191, 2004.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D; Kashai, Yaron

Functional Verification of Pipelined Processors: A Case Study Proceedings Article

In: Fifth International Workshop on Microprocessor Test and Verification (MTV 2004), Common Challenges and Solutions, 08-10 September 2004, Austin, Texas, USA, pp. 79–84, 2004.

Links | BibTeX

Mishra, Prabhat; Kejariwal, Arun; Dutt, Nikil D

Synthesis-driven Exploration of Pipelined Embedded Processors Proceedings Article

In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 921–926, 2004.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D; Krishnamurthy, Narayanan; Abadir, Magdy S

A Top-Down Methodology for Microprocessor Validation Journal Article

In: IEEE Des. Test Comput., vol. 21, no. 2, pp. 122–131, 2004.

Links | BibTeX

Pasricha, Sudeep; Luthra, Manev; Mohapatra, Shivajit; Dutt, Nikil D; Venkatasubramanian, Nalini

Dynamic Backlight Adaptation for Low-Power Handheld Devices Journal Article

In: IEEE Des. Test Comput., vol. 21, no. 5, pp. 398–405, 2004.

Links | BibTeX

Gupta, Sumit; Savoiu, Nicolae; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

Using global code motions to improve the quality of results for high-level synthesis Journal Article

In: IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 23, no. 2, pp. 302–312, 2004.

Links | BibTeX

Gupta, Sumit; Gupta, Rajesh K; Dutt, Nikil D; Nicolau, Alexandru

Coordinated parallelizing compiler optimizations and high-level synthesis Journal Article

In: ACM Trans. Design Autom. Electr. Syst., vol. 9, no. 4, pp. 441–470, 2004.

Links | BibTeX

Shrivastava, Aviral; Dutt, Nikil D

Energy efficient code generation exploiting reduced bit-width instruction set architectures (rISA) Proceedings Article

In: Imai, Masaharu (Ed.): Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 475–477, IEEE Computer Society, 2004.

Links | BibTeX

Banerjee, Sudarshan; Dutt, Nikil D

Efficient search space exploration for HW-SW partitioning Proceedings Article

In: Orailoglu, Alex; Chou, Pai H; Eles, Petru; Jantsch, Axel (Ed.): Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 122–127, ACM, 2004.

Links | BibTeX

Mamidipaka, Mahesh; Khouri, Kamal S; Dutt, Nikil D; Abadir, Magdy S

Analytical models for leakage power estimation of memory array structures Proceedings Article

In: Orailoglu, Alex; Chou, Pai H; Eles, Petru; Jantsch, Axel (Ed.): Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2004, Stockholm, Sweden, September 8-10, 2004, pp. 146–151, ACM, 2004.

Links | BibTeX

Kejariwal, Arun; Gupta, Sumit; Nicolau, Alexandru; Dutt, Nikil D; Gupta, Rajesh

Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices Proceedings Article

In: Malik, Sharad; Fix, Limor; Kahng, Andrew B (Ed.): Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 556–561, ACM, 2004.

Links | BibTeX

Issenin, Ilya; Brockmeyer, Erik; Miranda, Miguel; Dutt, Nikil D

Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies Proceedings Article

In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 202–207, IEEE Computer Society, 2004.

Links | BibTeX

Gordon-Ross, Ann; Vahid, Frank; Dutt, Nikil D

Automatic Tuning of Two-Level Caches to Embedded Applications Proceedings Article

In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 208–213, IEEE Computer Society, 2004.

Links | BibTeX

Mishra, Prabhat; Kejariwal, Arun; Dutt, Nikil D

Synthesis-driven Exploration of Pipelined Embedded Processors Proceedings Article

In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 921–926, IEEE Computer Society, 2004.

Links | BibTeX

Gordon-Ross, Ann; Zhang, Chuanjun; Vahid, Frank; Dutt, Nikil D

Tuning Caches to Applications for Low-Energy Embedded Systems Book Section

In: Macii, Enrico (Ed.): Ultra Low-Power Electronics and Design, pp. 103–122, Kluwer / Springer, 2004.

Links | BibTeX

Mohapatra, Shivajit; Venkatasubramanian, Nalini; Dutt, Nikil D; Pereira, Cristiano; Gupta, Rajesh K

Energy-Aware Adaptations for End-to-End Videostreaming to Mobile Handheld Devices Book Section

In: Macii, Enrico (Ed.): Ultra Low-Power Electronics and Design, pp. 255–273, Kluwer / Springer, 2004.

Links | BibTeX

2003

Mishra, Prabhat; Dutt, Nikil D; Tomiyama, Hiroyuki

Towards Automatic Validation of Dynamic Behavior in Pipelined Processor Specifications Journal Article

In: Design Autom. for Emb. Sys., vol. 8, no. 2-3, pp. 249–265, 2003.

Links | BibTeX

Grun, Peter; Halambi, Ashok; Dutt, Nikil D; Nicolau, Alexandru

RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions Journal Article

In: IEEE Trans. VLSI Syst., vol. 11, no. 4, pp. 731–737, 2003.

Links | BibTeX

Gupta, Sumit; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations Proceedings Article

In: 16th International Conference on VLSI Design (VLSI Design 2003), 4-8 January 2003, New Delhi, India, pp. 461–466, 2003.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Memory architecture exploration for programmable embedded systems Book

Kluwer, 2003, ISBN: 978-1-4020-7324-3.

BibTeX

Dutt, Nikil D; Choi, Kiyoung

Configurable Processors for Embedded Computing Journal Article

In: Computer, vol. 36, no. 1, pp. 120–123, 2003.

Links | BibTeX

Mishra, Prabhat; Dutt, Nikil D; Tomiyama, Hiroyuki

Towards Automatic Validation of Dynamic Behavior in Pipelined Processor Specifications Journal Article

In: Design Autom. for Emb. Sys., vol. 8, no. 2-3, pp. 249–265, 2003.

Links | BibTeX

Lee, Jong-eun; Choi, Kiyoung; Dutt, Nikil D

Compilation Approach for Coarse-Grained Reconfigurable Architectures Journal Article

In: IEEE Des. Test Comput., vol. 20, no. 1, pp. 26–33, 2003.

Links | BibTeX

Grun, Peter; Dutt, Nikil D; Nicolau, Alexandru

Access pattern-based memory and connectivity architecture exploration Journal Article

In: ACM Trans. Embed. Comput. Syst., vol. 2, no. 1, pp. 33–73, 2003.

Links | BibTeX

Grun, Peter; Halambi, Ashok; Dutt, Nikil D; Nicolau, Alexandru

RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions Journal Article

In: IEEE Trans. Very Large Scale Integr. Syst., vol. 11, no. 4, pp. 731–737, 2003.

Links | BibTeX

Mamidipaka, Mahesh; Hirschberg, Daniel S; Dutt, Nikil D

Adaptive low-power address encoding techniques using self-organizing lists Journal Article

In: IEEE Trans. Very Large Scale Integr. Syst., vol. 11, no. 5, pp. 827–834, 2003.

Links | BibTeX

Lee, Jong-eun; Choi, Kiyoung; Dutt, Nikil D

Evaluating Memory Architectures for Media Applications on Coarse-Grained Recon.gurable Architectures Proceedings Article

In: 14th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2003), 24-26 June 2003, The Hague, The Netherlands, pp. 172–182, IEEE Computer Society, 2003.

Links | BibTeX

Biswas, Partha; Dutt, Nikil D

Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions Proceedings Article

In: Moreno, Jaime H; Murthy, Praveen K; Conte, Thomas M; Faraboschi, Paolo (Ed.): Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 104–112, ACM, 2003.

Links | BibTeX

Reshadi, Mehrdad; Bansal, Nikhil; Mishra, Prabhat; Dutt, Nikil D

An efficient retargetable framework for instruction-set simulation Proceedings Article

In: Gupta, Rajesh; Nakamura, Yukihiro; Orailoglu, Alex; Chou, Pai H (Ed.): Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 13–18, ACM, 2003.

Links | BibTeX

Dutt, Nikil D; Sztipanovits, Janos; Hirata, Masaki

Driving agenda for systems research Proceedings Article

In: Gupta, Rajesh; Nakamura, Yukihiro; Orailoglu, Alex; Chou, Pai H (Ed.): Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 82, ACM, 2003.

Links | BibTeX

Reshadi, Mehrdad; Mishra, Prabhat; Dutt, Nikil D

Instruction set compiled simulation: a technique for fast and flexible instruction set simulation Proceedings Article

In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 758–763, ACM, 2003.

Links | BibTeX

Gupta, Sumit; Dutt, Nikil D; Gupta, Rajesh K; Nicolau, Alexandru

Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs Proceedings Article

In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10270–10275, IEEE Computer Society, 2003.

Links | BibTeX

Mamidipaka, Mahesh; Dutt, Nikil D

On-chip Stack Based Memory Organization for Low Power Embedded Architectures Proceedings Article

In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 11082–11089, IEEE Computer Society, 2003.

Links | BibTeX

Pasricha, Sudeep; Mohapatra, Shivajit; Luthra, Manev; Dutt, Nikil D; Venkatasubramanian, Nalini

Reducing Backlight Power Consumption for Streaming Video Applications on Mobile Handheld Devices Proceedings Article

In: Fohler, Gerhard; Marculescu, Radu (Ed.): First Workshop on Embedded Systems for Real-Time Multimedia, ESTImedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with CODES-ISSS 2003, Proceedings, pp. 11–17, 2003.

BibTeX

Tomiyama, Hiroyuki; Takada, Hiroaki; Dutt, Nikil D

Data Organization Exploration for Low-Energy Address Buses Proceedings Article

In: Fohler, Gerhard; Marculescu, Radu (Ed.): First Workshop on Embedded Systems for Real-Time Multimedia, ESTImedia 2003, October 3-4, 2003, Newport Beach, California, USA, co-located with CODES-ISSS 2003, Proceedings, pp. 128–133, 2003.

BibTeX

Mamidipaka, Mahesh; Khouri, Kamal S; Dutt, Nikil D; Abadir, Magdy S

IDAP: A Tool for High Level Power Estimation of Custom Array Structures Proceedings Article

In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 113–119, IEEE Computer Society / ACM, 2003.

Links | BibTeX

563 entries « 9 of 12 »